碧波液压网 欢迎你,游客。 登录 注册

基于FPGA的MSK调制解调器设计与应用

作者: 肖闽进 来源:微计算机信息 日期: 2024-03-03 人气:13
基于FPGA的MSK调制解调器设计与应用
提出了一种基于FPGA的数字MSK调制解调器设计方法,应用VHDL语言进行了模块设计和时序仿真。硬件部分在Altera公司EP2C15AF256CSN FPGA上实现。结果表明,数字MSK调制解调器具有相位连续,频带利用率高的优点。
    共1页/1条